SEMATECH Announces 2011 Knowledge Series Line Up
[ Back ]   [ More News ]   [ Home ]
SEMATECH Announces 2011 Knowledge Series Line Up

Public forums aimed at forging consensus and driving solutions on critical industry challenges

ALBANY, N.Y. — (BUSINESS WIRE) — February 8, 2011 — SEMATECH today announced the content of its 2011 SEMATECH Knowledge Series (SKS), a variety of public industry meetings designed to enhance global knowledge and collaboration in key areas of nanoelectronics R&D by providing technology-rich forums to explore critical issues and build industry consensus.

“Our diverse meetings share a common purpose: to keep the industry moving profitably forward by making the most informed, cost-effective decisions possible,” said Dan Armbrust, president and CEO of SEMATECH. “It is more important than ever for our industry to come together, share ideas, build consensus, and drive actionable solutions. We believe we do that best with our SKS series.”

Hosted by SEMATECH and ISMI, this year’s worldwide conferences, symposia, and workshops will focus on the critical challenges and technology developments in next-generation lithography, materials and methods to enhance transistor and back-end development, and ways to improve manufacturing efficiency and yield.

The 2011 SKS meetings include the following, grouped by technology focus.

Lithography

Held in conjunction with the BACUS conference, the full-day workshop provides a forum for SEMATECH members, mask and wafer cleaning suppliers, and researchers to discuss advancements in technologies and solutions applicable to advanced mask cleaning and surface preparation challenges. Topics include sub-30 nm particle removal, molecular contamination removal, mask inspection defect analysis, and environmental approaches to mask cleaning.

The EUVL Symposium, hosted by SEMATECH, Selete, EUVA, and IMEC is part of SEMATECH’s ongoing commitment to help mature the technology and infrastructure for extreme ultraviolet lithography (EUVL), including sources, masks, optics, resists, contamination control, and metrology to support EUVL pilot line manufacturing requirements.

The Immersion Extensions Symposium, hosted by SEMATECH in collaboration with IMEC and Selete and co-located with the EUVL Symposium, focuses on efforts to extend lithographic patterning beyond the 15 nm half-pitch node. Its primary emphasis is on patterning processes, emerging technologies, and techniques for improving process control.

Advanced Technologies

This conference, which brings together the leading researchers from the semiconductor industry and the university community, addresses advanced wafer and mask cleaning and surface preparation technologies. Speakers and participants will explore current developments and ITRS challenges in wafer and mask cleaning, including wafer front-end, wafer back-end, advanced mask, and environment, safety and, health issues for the 32 nm node and beyond.

This workshop will bring together representatives from device manufacturers, electronic design automation suppliers, semiconductor assembly and test service providers, and the R&D community to explore mechanical stress-driven failure mechanisms, their associated test vehicles, and the characterization and modeling methodologies pertaining to via-middle through-silicon via 3D stacking technologies.

Held in conjunction with SEMICON West, this workshop focuses on how new and existing wafer metrology technologies can be used, modified, or enhanced to measure and improve 3D interconnect processes.

This year's annual symposium, "Functional Stacks for Logic and Memory Devices," explores functional stacks for future (sub-22 nm node) devices, including high-k/metal gate stacks for Si, SiGe, and III-V high performance MOSFETs; metal/high-k/metal gate stacks for storage capacitors and resistive change memory; high-k/metal gate for flash memory; insulators and metals for high-performance NEMS and sensors; and magnetic material stacks for spin-based devices. The symposium will feature industry experts presenting their latest research in both invited and contributed talks and a discussion panel of representatives from major semiconductor device makers, equipment makers, and academia.

Manufacturing

This year’s ISMI Manufacturing Weeks will be anchored by SEMATECH and ISMI symposia in both Asia and the United States. These events include an extensive variety of workshops and Mature Technology Fab program meetings emphasizing specific topics relevant to today’s semiconductor manufacturing challenges. Supplier presentations or exhibits will provide additional information about the latest products, services, and novel ideas for increasing profits. These events will also include member-only sessions devoted to reviewing program activities, technology transfer, and member feedback.

This symposium is the semiconductor industry’s most important event for exchanging ideas about real-time, cost-saving solutions to help improve manufacturing productivity. Sessions will focus on key manufacturing and business issues in today’s global semiconductor industry, with plenty of opportunities for networking. The symposium includes sessions on advanced equipment control and advanced process control (AEC/APC) and brings IC manufacturers and suppliers together to accelerate the industry toward more efficient and more intelligent manufacturing through automated data-driven decision making.

Other Industry-wide Events

Senior executives and managers from SEMATECH and ISMI will hold symposia in Japan, Taiwan, and Korea to present program updates and examples of how SEMATECH’s models for consortial R&D are accelerating the next technology revolution worldwide. Public sessions will feature industry experts addressing key technology, manufacturing, and business issues in today’s global semiconductor industry and will provide opportunities for networking. Member-only sessions will be devoted to reviewing program activities, technology transfer, and member feedback.

The ITRS will be completely revised in 2011. All chapters will be carefully reviewed against the latest industry drivers and updated with new information. The ITRS public conferences offer technologists and strategists from the manufacturing and supplier communities the opportunity to participate in building the next ITRS by providing input to the working group teams of industry and research experts who will revise it.

Other meetings may be added during the course of the year. All will be open to the public, with several accepting sponsorships and exhibits. For further information or updates on upcoming SKS events and meetings, visit www.sematech.org/meetings/sks.htm.

About SEMATECH:

For over 20 years, SEMATECH® ( www.sematech.org), the international consortium of leading semiconductor manufacturers, has set global direction, enabled flexible collaboration, and bridged strategic R&D to manufacturing. Today, we continue accelerating the next technology revolution with our nanoelectronics and emerging technology partners.



Contact:

SEMATECH
Erica McGill, 518-649-1041
Email Contact